您的位置:维库电子商城 > 集成电路 (IC) > 嵌入式 - CPLD(复杂可编程逻辑器件) > epm7128slc84-10
  • EPM7128SLC84-10

EPM7128SLC84-10

  • 制造商:altera(altera,altera)
  • 标准包装:75
  • 类别:集成电路 (IC)
  • 家庭:嵌入式 - CPLD(复杂可编程逻辑器件)
  • 系列:MAX? 7000
  • 可编程类型:系统内可编程

参考价格

  • 数量单价
  • 1$30.5
描述IC MAX 7000 CPLD 128 84-PLCC最大延迟时间 tpd(1)10.0ns
电压电源 - 内部4.75 V ~ 5.25 V逻辑元件/逻辑块数目8
宏单元数128门数2500
输入/输出数68工作温度0°C ~ 70°C
安装类型表面贴装封装/外壳84-LCC(J 形引线)
供应商设备封装84-PLCC(29.31x29.31)包装托盘
其它名称544-1207-5

“EPM7128SLC84-10”技术资料

  • 基于TMS320C60000DSP的视频解码器设计及其硬件实现方案

    些临时数据和常数表,sdram为解码后图像数据提供缓冲区,fifo为数据输出到d/a的缓冲器件,d/a用于将图像的rgb数字信号转换为模拟信号输出到显示器。对fifo等器件的控制以及产生视频的行/场同步信号可采用可编程逻辑器件pld来实现。 系统基本流程为:系统通过uart接收码流,由定时器定时(按照码流的播放速度设定进行定时,如30帧/秒)触发dsp对接收的数据进行解码,解码后的数据输出到sdram缓存,然后在pld的触发下将数据送入fifo,再由可编程逻辑器件altera公司的pld(epm7128slc84-10)以一定频率控制fifo把数据输出到d/a,转换成模拟信号输出。 2.2 有关码流的考虑 视频的编码一般是把图像的帧分为三种:初始帧1,可直接对此帧编码;前向预测帧p,对它编码时需要参考i帧的信息;双向预测帧b,对它编码时要同时参考前后两帧的信号,即i帧(或p帧)和p帧的信息。这样的话,解码时要先得到i帧和p帧的数据才能对b帧解码,也就是说编码后的码流应该是先传i、p帖,再传b帧,而不是按照i、b、p帧顺序传输。这就牵涉到了帧重排的问题,即在p帧解码后不能立即显示,要等到后面的b帧显示后 ...

  • 基于TMS320C60000 DSP的视频解码器设计及其硬件实现方案

    的一些临时数据和常数表,sdram为解码后图像数据提供缓冲区,fifo为数据输出到d/a的缓冲器件,d/a用于将图像的rgb数字信号转换为模拟信号输出到显示器。对fifo等器件的控制以及产生视频的行/场同步信号可采用可编程逻辑器件pld来实现。 系统基本流程为:系统通过uart接收码流,由定时器定时(按照码流的播放速度设定进行定时,如30帧/秒)触发dsp对接收的数据进行解码,解码后的数据输出到sdram缓存,然后在pld的触发下将数据送入fifo,再由可编程逻辑器件altera公司的pld(epm7128slc84-10)以一定频率控制fifo把数据输出到d/a,转换成模拟信号输出。 2.2 有关码流的考虑 视频的编码一般是把图像的帧分为三种:初始帧1,可直接对此帧编码;前向预测帧p,对它编码时需要参考i帧的信息;双向预测帧b,对它编码时要同时参考前后两帧的信号,即i帧(或p帧)和p帧的信息。这样的话,解码时要先得到i帧和p帧的数据才能对b帧解码,也就是说编码后的码流应该是先传i、p帖,再传b帧,而不是按照i、b、p帧顺序传输。这就牵涉到了帧重排的问题,即在p帧解码后不能立即显示,要等到后面的b帧显示后才能 ...

“EPM7128SLC84-10”DZBBS

  • 求助,周立功的cpld开发板只能用epm7128slc84-10吗?

    求助,周立功的cpld开发板只能用epm7128slc84-10吗?epm7128slc84-10很难买到那个开发板一定要这个型号的吗?换成-15可以吗?换成工业级的,比如epm7128sli84-10,可以吗?epm7128slc84-10真的很难买到,你们这里还代理吗? ...

  • 请教周立功,买的cpld开发板出了一点问题

    请教周立功,买的cpld开发板出了一点问题买的cpld的开发板用的是epm7128slc84-10有一个写好的cpld程序,确定是对的,因为以前用过很多次了,没出错过但是现在,按照程序,某个端口,应该输出高电平,但是用万用表的电压档测量相应的排针,却发现是0v,而且有时候竟然会来回的跳变这是怎么回事呢? ...

  • cpld开发板,刚下载后是正常的,断电再上电,输出的波形就不正常

    cpld开发板,刚下载后是正常的,断电再上电,输出的波形就不正常周立功的cpld的开发板,基于epm7128slc84-10下载好后,不给开发板断电,用示波器测量用到的io口,波形是正常的但是给开发板断电,再重新上电,这时候就不确定的,有时候正常,有时候不正常。不正常的表现为:一个波形测不到,另一个波形是错误的,当然也有正确的波形怀疑是下载电路出了点问题谁知道怎么检查下载电路是否有问题啊,直接测量tdo等四个io口的电压可以吗? ...

  • 请教周立功,买的cpld开发板出了一点小小的问题

    请教周立功,买的cpld开发板出了一点小小的问题买的cpld的开发板用的是epm7128slc84-10有一个写好的cpld程序,确定是对的,因为以前用过很多次了,没出错过但是现在,按照程序,某个端口,应该输出高电平,但是用万用表的电压档测量相应的排针,却发现是0v,而且有时候竟然会来回的跳变这是怎么回事呢? ...

epm7128slc84-10的相关型号: