您的位置:维库电子商城 > 集成电路 (IC) > 嵌入式 - CPLD(复杂可编程逻辑器件) > epm7256aetc100-10
  • EPM7256AETC100-10

EPM7256AETC100-10

  • 制造商:altera(altera,altera)
  • 标准包装:270
  • 类别:集成电路 (IC)
  • 家庭:嵌入式 - CPLD(复杂可编程逻辑器件)
  • 系列:MAX? 7000A
  • 可编程类型:系统内可编程

参考价格

  • 数量单价
  • 270$48.50007
描述IC MAX 7000 CPLD 256 100-TQFP最大延迟时间 tpd(1)10.0ns
电压电源 - 内部3 V ~ 3.6 V逻辑元件/逻辑块数目16
宏单元数256门数5000
输入/输出数84工作温度0°C ~ 70°C
安装类型表面贴装封装/外壳100-TQFP
供应商设备封装100-TQFP(14x14)包装托盘
其它名称544-1217

“EPM7256AETC100-10”DZBBS

  • 如何用VHDL实现这个简单的时序?

    如何用vhdl实现这个简单的时序?要求,nwe为clk延时12ns生成就可以,addr由clk的下降沿开始输出就可以,最好有个10ns以内的延时最好!下面我自己写的这个程序用 epm7256aetc100-10的仿真结果,好像不大符合,请教高手指点,谢谢!library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;--************************************************************entity ws isport( clk: in std_logic; nwe: out std_logic; addr: out std_logic_vector(7 downto 0) );end ws;--************************************** ...

epm7256aetc100-10的相关型号: